Bienvenido: Ingresar
location: WebHome / Hardware / ModuloADC

ADC (Analog Digital Converter)

Este periférico permite convertir señales analógicas en una cuenta o valor digital.

Características y Registros ADC

El LPC43xx posee 2 ADC idénticos, cada uno de ellos posee las siguientes características:

Entradas

Estos nombres deben buscarse dentro del mapa del SCU y configurar adecuadamente el pin para su uso como ADC.

Alimentación

El ADC posee entradas separadas de alimentación denominadas VDDA y VSSA, usadas para el bloque analógico, las mismas deben estar correctamente aisladas de las demás señales para no generar errores en la conversión producto de las fluctuaciones en estas lineas.

VDDA es usada además como VREF

Registro de control (CR)

bits 7-0

SEL

Estos bits determina en cual o cuales de los 8 canales se realizará la conversión, correspondiendo cada bits a cada una de las entradas, este registro funciona de dos maneras diferentes: * Controlado por software, en este modo solo un bits puede ser puesto en 1, el cual será el canal donde se realizará la conversión. * Controlado por hardware, en este modo se selecciona con 1 a todos los canales donde se deben realizar una conversión, una vez activo el ADC en este modo, se producirá un barrido de todos estos canales.

bits 15-8

CLKDIV

El clock interno del ADC se extrae del VPB (PCLK) dividido el valor guardado en CLKDIV, el resultado no deberá ser superior a 4,5 Mhz, generalmente este divisor de configura para producir la máxima frecuencia posible del ADC ( 4,5Mhz) pero en ciertos casos conviene una menor frecuencia, por ejemplo en casos de que la señal a medir provenga de fuentes de alta impedancia.

bit 16

BURS

Si el bit es 0, la conversión es controlada por software requiriendo 11 ciclos de reloj, si en cambio es 1, se activa el control por hardware, ahora el tiempo de conversión y el rango de la misma será designado por CLKS, produciendo un barrido en cada canal que se encuentre activo en el registro SEL, comenzando por el bit menos significativo en 1. La conversión continuará hasta que se borre el el bits del BURST, con lo cual se detendrá el proceso una vez que la convención que se esté efectuando termine.

bits 19-17

CLKS

Este campo es usando en el modo de control por hardware y determina el número de ciclos usados para la conversión y el número de bits de precisión, pudiendo elegir entre 11 clock (10bits) = 000, 10 clock (9bits) = 001 hasta 4 clock (3bits) = 111.

bit 20

Reservado.

bit 21

PDN

1 = el ADC está operacional 0 = el ADC está en modo power down.

bits 23-22

Reservado.

bits 26-24

START

Configura de que manera el ADC comenzará a convertir, en caso de estar configurado en modo control por software (BURST = 0).

0x0: no comienza, este es el valor a usar cuando PDN = 0.

0x1: comenzar la conversión ahora.

0x2: flanco del tipo establecido en EDGE en el pin CTOUT_15.

0x3: flanco del tipo establecido en EDGE en el pin CTOUT_8.

0x4: flanco del tipo establecido en EDGE en el pin ADCTRIG0.

0x5: flanco del tipo establecido en EDGE en el pin ADCTRIG1.

0x6: flanco del tipo establecido en EDGE en el pin Motocon PWM output MCOA2.

0x7: reservado.

bit 27

EDGE

Este bits es utilizado en caso de configurar a START en algún modo que requiera flanco (modo 0x2 a 0x6). EDGE = 0 la conversión inicia en un flanco de bajada. EDGE = 1 la conversión inicia en un flanco de subida.

bits 31-28

Reservado.

Registro global de datos (GDR)

bits 15-6

V/V3A

indica el resultado de la conversión.

bits 26-24

CHN

Indica el canal de la ultima conversión.

bit 30

OVERRUN

En modo BURST este bits está en 1 si una o varias conversiones fueron perdidas por no leer a tiempo el registro antes de que una nueva la pisara.

bit 31

DONE

Este registro indica con 1 que la conversión a finalizado, es borrado cuando este registro es leído y cuando el CR es escrito, si el CR es escrito mientras que se estaba realizando una conversión, este bit es puesto a 1 y una nueva conversión arranca

Registro de habilitación de interrupción (INTEN)

bits 7-0

ADINTEN

Indica que canal/es generará/n interrupción, un 1 en el bit 0 indica que el canal 0 generará interrupción al finalizar la conversión, un 1 en el bit 1 corresponderá una interrupción para el canal 1 y asi con los demás canales.

bits 8

ADGINTEN

Cuando su valor es 1 habilita la interrupción cuando el bit DONE se ponga en 1, en caso de 0 la interrupción la genera los canales individuales indicados en ADINTEN.

Registro de Datos (DR0-DR7)

Es una copia del registro GDR pero particular para cada canal, disponiendo del último dato convertido para un canal en particular, el bit DONE para verificar si hay un nuevo dato a leer y OVERRUN para detectar perdida de datos convertidos.

Registro de Status (STAT)

Permite acceder a los bit DONE y OVERRUN de todos los canales

bits 7-0

DONE

Bits DONE de los 8 canales.

bits 15-8

OVERRUN

Bits OVERRUN de los 8 canales.

bit 16

ADINT

Este bit se pone en 1 cuando algún canal termina de convertir y el mismo está habilitado para generar interrupción via el registro ADINTEN

Configuración y Lectura de un ADC

Para la configuración y lectura del ADC se utilizará las librerías de las LPCOpen

Configuración de la SCU

Una diferencia importante que comparten el ADC junto con el DAC con respecto a los demás periférico, es la configuración de los pines de entrada (ADC) o salida (DAC), como se detalló en otros casos, el primer paso es configurar los pines para que estos puedan ser utilizados por el periférico, en la mayoría de los casos esto se realiza con los registros SFSP utilizando la funcion de la LPCOpen Chip_SCU_PinMux().

La situación es diferente para los dos periféricos analógicos (ADC y DAC), en estos casos, es necesario configurar el registro ENAIO (ENAIO0 y ENAIO1 para las dos ADC y ENAIO2 para el caso del DAC), el mismo permite cambiar la configuración de los pines de digital a analógico quedando de esta forma el pin listo para su uso en el periférico correspondiente. De esta manera se configura entonces mediante la siguiente función del LPCOpen.

  STATIC INLINE void Chip_SCU_ADC_Channel_Config(uint32_t ADC_ID, uint8_t channel);

Como ejemplo si se quiere configurar al canal 1 del del ADC0 se escribirá lo siguiente.

 Chip_SCU_ADC_Channel_Config(0,ADC_CH1); 

Inicialización del Periférico

La inicialización del ADC se realiza con la función Chip_ADC_Init(), esta función inicializa el periférico y establece una configuración para su funcionamiento por defecto, esta configuración por defecto será la siguiente:

El formato de la función es el siguiente

 void Chip_ADC_Init(LPC_ADC_T *pADC, ADC_Clock_Setup_T *ADCSetup);

Donde ADCSetup es una estructura que devuelve la configuración por defecto.

Habilitación del Canal

Con el comando

Chip_ADC_EnableChannel(LPC_ADC_T *pADC, ADC_CHANNEL_T channel, FunctionalState NewState)

Se habilita o se deshabilita uno de los canales del ADC

Modo de Arranque

El paso final es configurar el modo de arranque del ADC, es decir que evento generará un Start Conversion

Chip_ADC_SetStartMode(LPC_ADC_T *pADC, ADC_START_MODE_T mode, ADC_EDGE_CFG_T EdgeOption)

mode puede tener los siguientes valores:

Mientras que EdgeOption

Lectura del ADC

Una vez realizada la configuración del periférico ADC y generado el Start Conversion, solo queda efectuar la lectura del valor.

La lectura se realizará en dos pasos

Verificación de Fin de conversión

Antes de realizar la lectura del calor convertido es necesario verificar si el proceso de conversión ya terminó, esto se realiza cun la instrucción

Chip_ADC_ReadStatus(LPC_ADC_T *pADC, uint8_t channel, uint32_t StatusType)

donde StatusType indica la bandera que se busca leer

Esta función devuelve SET para el caso afirmativo o RESET para el caso contrario.

Lectura del valor

La lectura del valor convertido se realiza mediante la función

Chip_ADC_ReadValue(LPC_ADC_T *pADC, uint8_t channel, uint16_t *data)

Donde data es el puntero a la variable donde se guardará el valor.

La propia instrucción verifica antes de leer el dato si un valor nuevo espera ser leído (bit DONE) devolviendo ERROR si no hay dato nuevo o SUCCESS en caso de una lectura exitosa.

Manejo del ADC por Interrupción

Aquellos periféricos que poseen un tiempo de respuesta relativamente lento respecto a la velocidad de procesamiento del microcontrolador, los coloca como candidatos a ser administrados mediante interrupciones. El ADC entra como periférico de lenta respuesta, debido a que desde el inicio de conversión hasta su finalización transcurre 2,5 uS, un tiempo donde un micro con un clock a 200MHz puede ejecutar 500 instrucciones. Para la configuración del ADC por interrupciones, se deberá configurar lo siguiente

Habilitar el pedido de interrupción del ADC

void Chip_ADC_Int_SetChannelCmd(LPC_ADC_T *pADC, uint8_t ichannel,FunctionalState NewState)

Donde ichannel indica el canal (ADC_CH0, ADC_CH1 .. ADC_CH7) y NewState indica la habilitación (ENABLE) o la deshabituación (DISABLE) del canal seleccionado para realizar interrupciones.

Finalmente con la instrucción de la NVIC

 NVIC_EnableIRQ(17) 

Habilita la NVIC para capturar los pedidos de interrupción del ADC

Función para atender la interrupción

La función deberá leer el valor convertido y eventualmente lanzar una nueva conversión.

Esta función deberá reemplazar a la función por defecto que se encuentra en el vector de interrupciones dentro de vector.c correspondiente al vector 0x21 o IRQ 17.

   ISR_NoHandler,      /* 0x1e 0x00000078 - No Handler set for ISR TIMER2 (IRQ 14) */
   ISR_NoHandler,      /* 0x1f 0x0000007C - No Handler set for ISR TIMER3 (IRQ 15) */
   ISR_NoHandler,      /* 0x20 0x00000080 - No Handler set for ISR MCPWM (IRQ 16) */
   ADC0_IRQ,           /* 0x21 0x00000084 - No Handler set for ISR ADC0 (IRQ 17) */
   ISR_NoHandler,      /* 0x22 0x00000088 - No Handler set for ISR I2C0 (IRQ 18) */
   ISR_NoHandler,      /* 0x23 0x0000008C - No Handler set for ISR I2C1 (IRQ 19) */
   ISR_NoHandler,      /* 0x24 0x00000090 - No Handler set for ISR SPI (IRQ 20) */
   ISR_NoHandler,      /* 0x25 0x00000094 - No Handler set for ISR ADC1 (IRQ 21) */

(Ejemplo reemplazando la función generica ISR_NoHandler por la función ADC0_IRQ)

UntitledWiki: WebHome/Hardware/ModuloADC (última edición 2016-10-18 21:40:36 efectuada por GuillermoSteiner)